• 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
返回

电子发烧友 电子发烧友

  • 全文搜索
    • 全文搜索
    • 标题搜索
  • 全部时间
    • 全部时间
    • 1小时内
    • 1天内
    • 1周内
    • 1个月内
  • 默认排序
    • 默认排序
    • 按时间排序
  • 全部板块
    • 全部板块
大家还在搜
  • GDSII是什么?什么是GDSII文件

    GDSII是版图数据的格式GDSII文件:GDSII Stream format is the standard file format for transfering/archiving 2D

    2010-06-07 10:55

  • [求助]GDSII和ASCII格式互换!!!

    网上很多的转换工具都是只能GDSII转换到ASCII。谁有这方面的转换工具?最好是JAVA的。C语言也行。GDSII和ASCII互相转换的方法也行。

    2008-11-03 20:55

  • 制造数据的导出

    和计算机辅助制造的STL文件格式以及常用于平板印刷曝光技术的GDSII文件格式。任务描述制造数据的支持文件格式光滑表面的STL导出(如非球面)量化表面和棱镜/反射镜单元阵列的STL导出量化表面和光栅单元阵列的GDSII导出文件信息

    2022-02-24 15:19

  • 集成电路前段设计流程

    GDSII文件为终点。前端设计:(1)需求分析与规格制定。对市场调研,弄清需要什么样功能的芯片。芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设...

    2021-07-23 10:15

  • 【Marvell全职】Senior Physical Design Engineer

    netlist to gdsii, with synthesis, floorplanning, placeand route, timing closure, and physical

    2014-09-29 21:02

  • <猎头职位>急聘后端设计工程师

    岗位职责:1.相关IC后端设计经验;2.负责芯片从Netlist到GDSII的物理设计流程;3.熟悉布局布线、物理验证、功耗压降分析、寄生参数提取,DRC&LVS等物理设计流程;4.有

    2017-03-07 15:02

  • Magma BoardView可扩展导航范围

    Camelot技术来追踪芯片和电路板间信号。它可读取业界标准PCB和芯片版图格式并将其以图形方式显示出来; 所支持的格式包括:OASIS、Virtuoso、Gerber、GDSII和AutoCAD绘图交换

    2018-09-10 15:56

  • 【Marvell社招】Senior Physical Design Engineer

    :·ICimplementation from netlist to gdsii, with synthesis,floorplanning, place and route, timing closure

    2014-10-15 11:52

  • Fusion Compiler 最新数据手册和学习资料分享

    所未有的方式融合综合,布局布线(P&R)和签核等技术,以最大化PPA。全面的 RTL-to-GDSII 设计系统可将性能、功耗和面积提高 20%,并将成果时间缩短2倍融合数据模型架构,提供无与伦比

    2020-11-14 07:58

  • Fusion Design Platform™已实现重大7nm工艺里程碑

    ™ RTL-to-GDSII系统、TestMAX™ 测试与诊断、PrimeTime® signoff、StarRC®提取、RedHawk Analysis Fusion电源完整性,以及 IC Validator物理signoff 工具,提供最可预测的7nm全流程收敛方案,最大程度上减少迭代次数。

    2020-10-22 09:40