用RB URF02超声波传感器测距时想看测出的距离值,但没有串口调试软件,有人知道这个软件吗,急~~~,谢谢
2014-05-16 14:44
嗨人我很难在项目下载下载.elf文件ug913_14_2_AXI4_PRODN \ KC705_Embedded_Kit \ KC705_System \ ready_for_download我可以下载.bit文件,但是当我下载board_test_App_console.elf文件时,在输入run或con之后它会抛出一个错误无法进入debug命令,处理器当前处于运行状态(不是确切的措辞)我尝试做第一次,停止,一切,但错误仍然存在。但是,我能够成功下载xapp741,xapp742设计文件。我正在打破它。如果有人在遇到类似问题之前请帮助我。akanksha
2020-07-15 09:42
我正在尝试使用 NFC Tap 应用程序将数据传输到我这里的 ST25DV 设备,使用 FTM 邮箱功能。邮箱已启用。我从应用程序收到一条错误消息,说发送失败。一般问题:当应用程序将数据发送到设备时,我是否必须在那一刻读取它,或者实际上,我是否需要做任何事情,或者 ST25 是否会为我处理这些,我只需要知道传输何时结束并阅读邮箱的内容?如果这里有人正在处理这个问题并且可以为我遮挡一些光线,那就太好了。
2022-12-07 06:39
功放的作用就是把来自音源或前级放大器的弱信号放大,推动音箱放声。一套良好的音响系统功放的作用功不可没。
2019-11-07 09:00
嗨,现在我有一个大型项目,包含来自不同组的大量模块。我想合成这个项目(使用ise11.1和fpga v5)。由于某些原因,我无法获得所有源文件,但* .ngc文件。在我看来,在一个大型项目中,我不希望每个人都将模块约束添加到* .ucf文件中,在* .ucf文件中只包含一些全局约束,例如PAD和clock constrait。 因为* .ncf文件绑定到* .ngc文件,所以我希望其他人将特殊约束(如TIG和多周期路径约束)放在* .ncf文件中。所以他们可以在* .ncf文件中以* .ngc格式和约束提交thire设计。但我旁边没有人如何编写和使用* .ncf文件。我已经阅读了“约束guide.pdf”文档,没有实际的例子,所以我仍然不知道如何编写和使用。 这是一些简单的代码......module design_top();//在声明中----------------//一些逻辑------------------------//这是一个实例sec_layer sec_layer();//一些逻辑----------------------endmodule模块sec_layer();//在声明中----------------//一些逻辑------------------------//这是一个实例ccm_en ccm_en();//这是一个实例ccm_de ccm_de();//一些逻辑----------------------结束模块module ccm_en();//在声明中----------------reg [7:0] a,b,temp1,temp2;//一些逻辑------------------------永远@(posedge clk或negedge rstn)开始// ----------一些操作-----------一个// ----------一些操作-----------结束永远@(posedge clk或negedge rstn)开始// ----------一些操作-----------b// ----------一些操作-----------结束endmodule在上面的代码中,design_top是顶层模块,而在ccm_en模块中,从寄存器a到寄存器b是一个多周期路径。任何人都可以告诉如何写这个* .ncf以及如何将这个* .ncf绑定到* .ngc。谢谢以上来自于谷歌翻译以下为原文hi,now I have a large project consist of a large number of modules that from different groups. I want to systhesis this project(use ise11.1 and fpga v5). because of some reasons, I can not get all the source files but *.ngc files .In my opinion, in a large project , I do not wantevery peopleadd thire module constraint tothe*.ucffile, in the*.ucf file just consist some globle contraint such as PAD and clock constrait .because the *.ncf file bind to a *.ngc file,so I want others to put the special constraint such as TIG and multicycle path constraint in a *.ncf file. so they can submit thire design in *.ngc format and constraints in *.ncf file. but no one beside me how to write and use *.ncf file.I have read the "constraint guide.pdf" document , there is no practical example so I still didn't know how to write and use.here is some simple code... module design_top();//in outdeclaration----------------// some logic------------------------//this is a instancesec_layer sec_layer();// some logic----------------------endmodulemodulesec_layer();//in outdeclaration----------------// some logic------------------------ //this is a instanceccm_en ccm_en();//this is a instanceccm_de ccm_de(); // some logic----------------------end module module ccm_en();//in outdeclaration----------------reg [7:0] a ,b ,temp1, temp2;// some logic------------------------always @(posedge clk or negedge rstn)begin // ----------some operation----------- a
2018-10-09 15:40
开关管MOSFET的功耗分析MOSFET的损耗优化方法及其利弊关系
2020-12-23 06:51
;2030 音频IC可替换型号:TDA2030,YD2030,UFT2030,URF2030</p><p class="
2010-10-27 10:18
四旋翼自主飞行器是由哪些模块组成的?如何去实现一种四旋翼自主飞行器的设计呢?
2021-11-12 06:20