• 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
返回

电子发烧友 电子发烧友

  • 全文搜索
    • 全文搜索
    • 标题搜索
  • 全部时间
    • 全部时间
    • 1小时内
    • 1天内
    • 1周内
    • 1个月内
  • 默认排序
    • 默认排序
    • 按时间排序
大家还在搜
  • 如何设计电子密码锁EDA

    随着社会物质财富的日益增长,安全防盗已成为全社会关注的问题。基于EDA技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。

    2019-11-05 07:19

  • 基于VHDL设计的密码锁论文(2)

    基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDL)为主

    2012-02-08 16:47

  • 密码锁电路

    密码锁电路 使用中小型集成运放电路设计电阻密码锁要求完成以下功能Ø用一个特定阻值的电阻作为密钥;Ø用Ucc/2作为比较电压;Ø用发光二极管的亮暗来显示

    2011-08-31 13:01

  • 基于单片机的电子密码锁设计

    与比较    方案一:采用数字电路控制。其原理方框图如图1-1所示。    用数字密码锁电路的好处就是设计简单。用以74LS112双JK触发器构成的数字逻辑

    2020-09-24 08:50

  • 使用单片机实现简易密码锁

    ,继电器开启。(2)当三次输入错误密码后,密码锁禁止输入 10 秒钟,并进行相应的提示。(3)在输入正确的密码后,可修改密码和查询、清除门开启的次数。(4)自制继电器

    2021-11-23 06:10

  • 课设 - 基于单片机的多功能密码锁设计

    密码锁是以STC89C52单片机为核心,加上需要的硬件部分,实现密码的设定、保存、检测,从而控制电路的闭合是否进行开锁的决定。单片机通过用户输入的

    2018-11-29 23:33

  • 电子密码锁EDA技术设计方案

    电子密码锁EDA技术设计方案 基于EDA技术设计的电子密码锁,以其价

    2010-04-29 10:52

  • eda简易密码锁的设计方案汇总(三款eda简易密码锁的设计原理图详解)

    电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。设计一个电子密码锁,在锁开的状态下输入密码密码共4位,用数据开关K1K10分别代表

    2018-03-10 09:40

  • 采用STC89C52单片机的电子密码锁设计原理及实现

    ,ISP_ADDRH用来存放地址高字节,ISP_ADDRL用来存放地址低字节。采用STC89C52单片机设计的电子密码锁实现智能电子密码锁的控制功能,克服了机械

    2009-10-23 09:58

  • 基于单片机的电子密码锁设计

    单片机电子密码锁Proteus仿真+程序3.6 开锁机构在基于单片机的电子密码锁设计中,用户需要输入密码密码正确则发出开锁信号开锁。在这次基于单片机的电子

    2021-11-30 08:24