• 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
返回

电子发烧友 电子发烧友

  • 全文搜索
    • 全文搜索
    • 标题搜索
  • 全部时间
    • 全部时间
    • 1小时内
    • 1天内
    • 1周内
    • 1个月内
  • 默认排序
    • 默认排序
    • 按时间排序
  • 全部板块
    • 全部板块
大家还在搜
  • Vivado 12-1411无法设置端口的LOC属性

    消息:[Vivado 12-1411]无法设置端口的LOC属性,非法在站点HPIOBDIFFOUTBUF_X0Y79上放置实例xxx / IBUFDS / IBUFDS_0 / DIFFINBUF_INST。位置

    2018-11-08 11:28

  • 为什么Vivado 12-1411无法在PCIe端口上设置端口的LOC属性警告消防员?

    连接了PCI Express外部端口。临界警告按摩是“[Vivado 12-1411]无法设置端口的LOC属性,InstanceU_pcieip / ... pcie4_uscale_plus_0

    2018-11-13 14:10

  • Artix-7时钟路由和放置错误的解决办法?

    我在CZ7020-484引脚FPGA上出现了时钟放置错误。我们在引脚V4,V5上放置了一个bufgds(差分时钟输入)通过BUFIO时钟缓冲器。Vivado抱怨错误消息12-1411说bufgds的位置与bufio的位置有冲突。我不知道如何解决这个问题。 somb

    2020-05-21 14:06

  • 使用Tri Mode以太网MAC IP实现Vivado 2013.4发生严重警告

    警告。[Vivado 12-1411]无法设置端口的LOC属性,站点位置无效[“F:/.../ tri_mode_ethernet_mac_0_example_design.xdc”:44

    2019-09-20 08:33

  • 无法设置端口的LOC属性

    ] set_property PACKAGE_PIN AH1 [get_ports FMC_HPC_DP4_C2M_N]然而,当我们构建设计时,我们会遇到这些严重错误。[Vivado 12-1411]无法设置端口的LOC

    2019-09-17 12:06

  • 配置VC709连接套件上的SFP接口怎么实现?

    [get_ports txn_buf]我在流程的不同阶段得到以下警告和错误:综合[Vivado 12-1411]无法设置端口的LOC属性,无法扩展宏实例OBUFDS_inst [“/ opt / Xilinx

    2020-08-05 13:22

  • 收发器设计实施期间的严重警告

    你好,该设计包括HDMI,DP和SDI子系统。视频phy控制器IP用于HDMI,DP收发器配置。以下是一些警告,[Vivado 12-1411]不能设置端口LOC属性,实例i_design_1

    2018-11-13 14:12

  • 实施过程中的严重警告

    有人可以帮我解决下面的严重警告: - 我正在使用采用XC7K325T-2FFG900C FPGA的KC705评估板[Vivado 12-1411]无法设置端口的LOC属性,无法合法地将实例

    2018-11-05 11:30

  • ADUM1411 SPI出现自激振荡的原因?怎么解决?

    各位大哥好,小弟最近搞一块数据采集板,用AD7192采集,主控是stm32,用ADUM1411做SPI的隔离,现在的情况是这样的,我对MCU这边供电,只是供电,没有接入STM32,再对ADC这边

    2023-12-20 07:44

  • 请问ADUM1411 SPI出现自激振荡怎么解决?

    各位大哥好,小弟最近搞一块数据采集板,用AD7192采集,主控是stm32,用ADUM1411做SPI的隔离,现在的情况是这样的,我对MCU这边供电,只是供电,没有接入STM32,再对ADC这边也

    2018-10-12 09:15