esp32-s2-kaluga-1 出不来图像esp32-s2-kaluga-1现象描述原因分析解决方法总结esp32-s2-kaluga-1例程官方代码现象描述通过配置Linux开发环境,成功编译
2022-01-06 08:13
程序是用Keil软件,编译的,程序编译成功,但是不知道程序写的对不对,仿真是用的Protues软件画的,单片机用的是AT89C51,不知道哪里出问题了,就是没现象
2016-05-20 12:16
这个是我改的超声波程序,只有每次复位才能测一次,好像是进入中断后就出不来了,有兴趣的发烧友看看是什么问题,感觉是进了中断出不来。
2015-05-31 21:38
STM32-仿真调试时的SystemInit我在开始STM32的仿真调试时,遇到一个问题,就是调试时程序一直停在SystemInit()中的等待晶振中,怎么也出不来。SystemInit()前面
2021-07-22 08:24
做了个程序,可是怎么也出不来结果。这是源程序,大家帮忙看看啊。
2011-11-07 22:51
这边有个8路的电表电量采集系统的设计,使用ICL7135A/D芯片。程序编译没问题,我仿真就是出不来。求高手看看是程序问题还是仿真问题(程序有注释)。能够解决另有重谢。
2014-04-23 15:30
做电源离不开标准,不然卖不出去。总是吃记不得标准的亏。收集了几个分享下。
2016-06-13 16:16
自从一次拆机清灰之后,电脑总是无缘无故的断网。一开始还以为是拆机拆出问题来了,后来发现只有是在笔记本不接电源的时候才会出现断网的情况。经过百度jingyan
2022-01-03 07:36
VCA810和OPA820组成的AGC放大电路,我严格按VCA810pdf上的参数接的,就是将充电电容改成了10uF,可是怎么就是出不来呢?我检查了电路,连接没问题,但是VCA810的控制端电平一直为高,就是不为负,各位大神帮忙分析下吧!
2013-08-15 15:56
entity led_water isport(clk:instd_logic;led:out std_logic_vector(7 downto 0) );end led_water;architecture Behavioral of led_water issignal clk1 : std_logic; --建立中间时钟信号beginprocess(clk)--进程1 对时钟信号进行N分频variablecount:natural range 0 to 40000000 := 0;variablecount1 :std_logic := '0';beginif(clk'event and clk = '1') then--如果clk上升沿到来 if(count = 40000000)then count := 0; clk1
2016-11-15 21:13