开发板启动时的网络协议是IEEE802.1q,请问能否将其改为802.3。
2020-09-07 08:11
按照手册执行qbv的测试时,发现802.1as的协议报文也会被qbv的门控限制住,那么请问是否有办法把802.1as的协议报文队列指定到某个特定的qos队列中,避免被误伤?
2021-12-31 07:28
如何利用《SJA1110-EVM-Host-Tools》和《SJA1110-EVM-Configuration-Tools》在SJA1110-EVM中配置802.1AS
2023-06-08 07:56
我买的是S5P6818-C2,因为需要用到VLAN,所以我在内核中增加了“802.1QVLAN Support”但编译的时候,出现一下错误,麻烦大侠帮忙分析一下CCdrivers/net
2022-01-06 06:23
IEEE1905.1a标准架构论述、IEEE1905.1与IEEE1905.1a有何差异?
2021-05-21 06:27
本帖最后由 一只耳朵怪 于 2018-6-21 15:13 编辑 在选型向导上说3354不包含PRU-ICSS模块,不支持IEEE1588v2,如下图所示:但是在wiki中有这段话:Note
2018-06-21 07:13
生成树协议的国际标准是IEEE802.1d.运行生成树算法的网桥/交换机在规定的间隔内通过网桥协议数据单元(BPDU)的组播帧与其他交换机交换配置信息,其工作的过程如下:
2019-11-04 09:00
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity myfist isport( clk
2017-06-09 21:48
library ieee;use ieee.std_logic_1664.all;use ieee.std_lodic_unsigned.all;entity cnt10 is port (clk
2018-10-12 12:08
library ieee;use ieee.std_logic_1164.all;entity dengdai isport(clk: in std_logic;reset
2012-02-26 07:50