Altium designer09原理图导入PCB出现add pins to nets和add component class members都为叉怎么解决?封装也没有
2019-05-05 22:39
***导入pcb出现问题,检查原理图没错,但导入时就出现add nets都是打叉,求大神指点迷津
2013-09-10 20:19
? [get_nets] ?? [get_pins] ?? [get_ports] ??等等?我做了所有的试验,但它不起作用!!非常感谢你,set_property ALLOW_COMBINATORIAL_LOOPS
2018-10-31 15:32
[get_nets top_i / gmii_to_rgmii_0 / clkin] create_clock -period 8.000 -name rgmii_rxc -add [get_ports
2020-08-26 09:39
好像是网络标号出了问题,有人说在这里Design_NetList_Edit Nets...在中间栏点Add添加新的网络但是我没找到啊!
2013-06-12 18:49
有大神知道我的ad15,原理图report里的single pin nets只显示当页的,是我哪里没设置对吗?以前用的ad9可以显示整个工程的啊
2018-12-05 16:32
altium designer中执行更改没有错误,但生效更改出现错误add nets,不知道怎么回事?
2019-09-02 03:25
这里我设置的是No nets 我想让工厂做出来没有铜。我知道用P-R(实心区域)涂上就行,但手工铺太麻烦了。我用的是AD15
2017-07-28 18:17
create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports CLK50MHZ] FAGA Rst_n
2023-08-11 08:26
data_out_INST_0] set_property LOCK_PINS {I0:A3} [get_cells r4_i_1] set_property LOCK_PINS {I0: A3
2018-11-12 14:22