• 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
返回

电子发烧友 电子发烧友

  • 全文搜索
    • 全文搜索
    • 标题搜索
  • 全部时间
    • 全部时间
    • 1小时内
    • 1天内
    • 1周内
    • 1个月内
  • 默认排序
    • 默认排序
    • 按时间排序
大家还在搜
  • AD835乘法器模块资料

    2019-07-29 14:23

  • 乘法器的移位累加

    请问关于乘法器的Verilog 程序中,移位累加具体每一步是怎么走的,自己琢磨了一番,感觉不是太懂,求高手解释。(明白二进制乘法的计算过程)

    2015-10-17 23:08

  • 硬件乘法器的相关资料分享

    一,乘法器硬件乘法器是一个通过内部总线与 CPU 相连的 16 位外围模块。MSP430 单片机可以在部改变 CPU 结构和指令的情况下增加功能,这种结构特别适用于对运算速度要求很严格的情况。硬件

    2021-12-09 07:05

  • 关于乘法器的相关知识和代码

    有关于乘法器的相关知识和代码。最近看到别人做乘法器, 自己也想试一试,上网找到特权同学的乘法器的视频讲解,但是对于我等初学者,还是搞不懂。经过一天的分析和整理,终于明白了,想分享给那些和我一样的菜鸟

    2016-04-02 00:28

  • 18_18并行流水乘法器芯片设计

    本帖最后由 eehome 于 2013-1-5 10:11 编辑 18_18并行流水乘法器芯片设计

    2012-08-10 18:06

  • 集成电路中低功耗乘法器的实现与设计方案

    限度的低功耗效果。本文紧跟市场发展动向,从以上应用角度出发,着力研究集成电路设计中小数乘法器的前端低功耗算法以及实现技术,并且改进了现有低功耗设计技术的一些不足之处。

    2023-09-19 07:42

  • 零基础学FPGA(九)手把手解析时序逻辑乘法器代码

    本帖最后由 小墨学FPGA 于 2015-4-7 17:22 编辑 上次看了一下关于乘法器的Verilog代码,有几个地方一直很迷惑,相信很多初学者看这段代码一定跟我当初一样,看得一头雾水

    2015-04-07 17:17

  • ## 线上实验五:2个2位二进制乘法器 精选资料分享

    线上实验五:2个2位二进制乘法器一、实验目的设计一个乘法器, 实现两个四位二进制数的乘法。 两个二进制数分别是被乘数AB和乘数CD。被乘数和乘数这两个二进制数分别由高低电平给出。

    2021-07-30 06:09

  • 有源乘法器和分频器简化合成器

    有源乘法器和分频器简化合成器

    2019-09-29 14:08

  • 16位乘法器

    Matrix calculation is one of the fundamental mathematic calculations commonly used inadvancedsignalprocessingalgorithmsforawiderangeofapplications,suchas satellite navigation systems, complex control systems and etc. In order to implement such advanced signal processing algorithms on an FPGA based embedded system, we need to use VHDL to design a matrix multiplier core for a Xilinx FPGA device.

    2019-05-19 23:02