各位大神,我的课题中需要用到stm32与pocket-RIO进行通信,现在对pocket-RIO的了解程度仅限于能实现mangotree官网上的流水灯,求Pocket-RIO与stm32进行收发数据的例程或者思路,万
2018-07-13 09:43
分享一本CMOS VLSI Design Book-Fourth Edition
2021-06-22 07:02
本人在论坛申请到一块Pocket-RIO板卡,今天试用时,发现编译错误一大堆。经查发现是编译器缺少一些文件所致,本欲重装,最后发现重装不了,遂来求助,我发现NIFPGA\jobs下缺少文件,只要
2016-12-24 20:31
ieee.std_logic_signed.all和ieee.std_logic_unsigned.all同时用出现报错怎么解决?
2021-06-23 15:46
; RX_FIFO_DEPTH:正面 ); 港口 (-一般 时钟:在std_logic中; 重置:在std_logic中; TX_FIFO_DATA_IN:在std_logic
2019-06-25 14:07
Multiplier is generic (DATA_WIDTH : natural := 4 ); port (reset_n: in std_logic;clk: in std_logic;InputX
2012-08-18 13:38
ieee.std_logic_unsigned.all; entity fp isgeneric (data_width : integer := 8 );port(input : in std_logic_vec
2013-07-31 10:36
:IN STD_LOGIC;q:OUT STD_LOGIC );END COMPONENT;SIGNAL data :STD_LOGIC_VECTOR (7 DOWN
2012-05-18 18:46
ieee.std_logic_1164.all;entity alaw_l_nl isport(data:instd_logic_vector(12 downto 0);--pcm linear signal线性p
2015-05-30 15:54
: STD_LOGIC;SIGNAL clr : STD_LOGIC;SIGNAL cp : STD_LOGIC;SIGNAL data_rst : STD_
2015-10-10 19:28