• 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
返回

电子发烧友 电子发烧友

  • 全文搜索
    • 全文搜索
    • 标题搜索
  • 全部时间
    • 全部时间
    • 1小时内
    • 1天内
    • 1周内
    • 1个月内
  • 默认排序
    • 默认排序
    • 按时间排序
大家还在搜
  • SDC是如何炼成的?怎么去验收SDC呢?

    STA是由SDC驱动的,所以SDC的完整性、正确性和一致性直接决定着综合、布局布线以及STA的有效性。

    2023-06-28 17:17

  • 集团正式发布了集智慧科技和数据应用于一体的

    会上除了发布云以外,还集中签约了21个契合主题、带动性强的标志性数字经济项目。三方层面,集中签约了一批温州市政府、集团与阿里云计算有限公司等国内龙头企业的三方合

    2019-09-11 15:03

  • 介绍系统接口sdc

    今天要介绍的基本sdc是系统接口sdc。主要包括set_drive, set_driving_cell, set_input_transition, set_load这四条命令,都是和端口上的驱动负载有关.

    2018-02-03 14:34

  • 时序分析的设计约束(SDC

    使用SDC命令create_clock创建时钟,时钟周期20,占空比50%的时钟信号;

    2022-11-08 09:12

  • 时序分析基本概念—SDC概述

    今天我们要介绍的时序概念是设计约束文件 **SDC** . 全称 ***Synopsys design constraints*** . SDC是一个设计中至关重要的一个文件。

    2023-07-03 14:51

  • 1GW!新能中标阿尔及利亚多个光伏电站项目

    杭州2024年9月3日 /美通社/ -- 近日,新能接连中标阿尔及利亚光伏项目,中标总量达  1000MW!新能ASTRO N5组件将远渡重洋,为非洲大地的绿色

    2024-09-03 12:08

  • 时序分析的设计约束SDC怎么写呢?

    使用SDC命令create_clock创建时钟,时钟周期20,占空比50%的时钟信号

    2023-06-18 09:42

  • 探讨一下SDC的各种语法构成和整体结构

    SDC是一个设计从RTL到netlist的桥梁,是FE/ME/BE都需要掌握的一项基本技能。通常情况来说,由前端工程师(designer)提供SDC文件是最合理的

    2023-07-06 15:28

  • 介绍基本的sdc时序特例

    今天我们要介绍的基本sdc是 **时序特例** ,也就是我们常说的Path exception。针对一些路径需要的一些特殊设定,常用的有set_false_path, set_multicycle_path, set_max_delay,set_min_delay等

    2023-07-03 15:34

  • 时序分析基本概念介绍—时钟sdc

    虽然sdc大大小小有上百条命令,但实际常用的其实就那么10几条。今天我们来介绍下与时钟相关的命令。

    2023-07-05 10:57