• 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
返回

电子发烧友 电子发烧友

  • 全文搜索
    • 全文搜索
    • 标题搜索
  • 全部时间
    • 全部时间
    • 1小时内
    • 1天内
    • 1周内
    • 1个月内
  • 默认排序
    • 默认排序
    • 按时间排序
  • 全部板块
    • 全部板块
  • 乒乓球搜集机器人

    乒乓球搜集机器人能在地面上漫游,如果发现在它前面有乒乓球或者跟乒乓球大小相近的物体时,就会启动两个手爪将它们收进搜集筐里。乒乓球搜集机器人能自动识别前方的障碍物,从而实

    2016-03-23 17:44

  • 乒乓球发球器简介

    摘要1.乒乓球发球器简介1.1乒乓球发球器研究的目的1.2乒乓球发球器研究的意义1.3 乒乓球发球器研究的国内趋势1.4.设计要求2.结构设计2.1 设计结构2.2三维

    2021-09-17 06:19

  • 【FPGA设计实例】用FPGA控制乒乓球比赛

    用FPGA控制乒乓球比赛本次试验实例将讲解如何用FPGA控制乒乓球比赛,也就是如何实现乒乓球在电子屏幕上按照既定程序完成比赛。乒乓球比赛由一个屏幕上的反弹球。球拍(从这

    2012-03-09 09:32

  • 怎么实现乒乓球发射机的设计?

    怎么实现乒乓球发射机的设计?

    2021-11-09 06:56

  • 【求助】FPGA乒乓球游戏机的设计

    1、使用乒乓球的双方在不同位置发球或击球;2、乒乓球的位置和移动方向由灯亮和依次亮的方向决定使用者根据的位置发出相应动作;3、比赛用21分一局进行。一方记满21分获胜。重新开局。;4、8个LED

    2015-12-25 12:28

  • 基于FPGA“乒乓球比赛游戏机”的设计

    基于FPGA“乒乓球比赛游戏机”的设计

    2012-08-17 11:45

  • 会打乒乓球的机器人TOPIO

    `` TOPIO 3.0这个机器人叫TOPIO 3.0,他是一个会打乒乓球的机器人。这是由越南的一家机器人公司TOSY生产,这个机器人靠两条腿行走,身 上装载了两台200-fps的摄像头来检测

    2015-01-16 17:37

  • 如何采用VHDL和FPGA设计一款乒乓球比赛游戏机?

    采用VHDL语言编程,基于FPGA成功设计了一款乒乓球比赛游戏机,通过仿真验证可知,结果满足设计需求,系统具有发球权控制、自动计分、犯规提示等多种功能,能有效模拟实际乒乓球比赛。

    2021-05-06 07:08

  • FPGA开发板VGA视频控制乒乓球游戏代码及说明

    FPGA开发板VGA视频控制乒乓球游戏代码及说明

    2012-08-11 11:37

  • 【PHYTEC开发板试用体验】终结篇- 乒乓球机器人

    经过停更的这段时间,这次是储备了一个大的彩蛋。我是一个乒乓球爱好者,虽然乒乓球技术不咋地,但是还是很好学的,想通过勤能补拙来实现提高乒乓技术,但是社区老大爷们的技术还是很牛的,基本上看不上我这菜鸟

    2022-07-12 21:17